Vollzeit | Teilzeit | Praktikum | Freelancer, Projektarbeit
Auf einen Blick
- Erlerntes in die Praxis umsetzen (in folgenden Themenfeldern möglich):
- Hardwarenahe Softwareentwicklung
- Analoges und digitales Chipdesign (z.B. VHDL, Verilog, Cadence)
- Signalverarbeitung
- Unterstützung internationaler Teams bei Infineon in Linz
|
At a glance
- Assist with daily task such as soldering components and maintaining lab equipment
- Document measurement results and debugging setups
|
At a glance
- End-to-End Digital Circuit Design & Verification
Lead the entire RTL design process, from block-level to top-level, ensuring robust logic synthesis, static timing analysis, and seamless integration across clock domains - Defining Architecture & Hardware Requirements
Translate product requirements into hardware specifications, working with system concept engineers to define optimal architectures and interfaces for digital modules - Technical Leadership & Team Management
Plan and execute digital design activities, oversee quality inspections, provide post-silicon bring-up support, and mentor a growing team of designers and students - DFT & Functional Safety Compliance
Lead design-for-test (DFT) efforts, including scan insertion and ATPG, while ensuring compliance with ATV ISO 26262 standards for functional safety - Collaborate with System, Verification & Chip Integration Teams
Work closely with cross-functional teams to define hardware architectures, review verification plans, and develop test cases that achieve high coverage - Pre-Silicon Verification & Quality Assurance
Analyze clock domain crossings (CDC) and linting, review pre-silicon verification plans, and ensure designs meet rigorous quality and testing standards
|
At a glance
- Develop and design advanced power electronic circuits through simulations and concept studies
- Collaborate with cross-functional stakeholders to gather and manage system requirements effectively
- Transform designs into hardware, overseeing the complete implementation process, and supervising the manufacturing phase
- Evaluate and validate designs within an industrial laboratory setting
- Lead stress testing using both established and newly developed test systems
- Document designs, concepts, and results with clarity and precision
|
At a glance
- Drive FPGA design and implementation from SoC-level IFX product perspective
- Develop FPGA, embedded system, application-based platforms (hardware, emulation, mixed-signal)
- Drive result-oriented analysis and debug
- Organize and support system verification and prototyping projects
- Have the potential to develop from technician to team leader and organize the team for multi-dimensional projects with multiple time zones
|
At a glance
- Work with high voltage chip products (SiC, IGBT) used in automotive traction inverter applications
- Be part of product development projects for power electronic transistors: Define the right-fit product and technology requirements matching to application trends and customer needs
- Work closely with cross-functional teams to identify and prioritize product features, understand trade-offs and key limitations ensuring that new products meet the evolving demands of the automotive industry
- Contribute to technical design-in activities at major automotive customers with our high voltage SiC and IGBT chip products
- Closely collaborate with our regional field application engineering teams
|
At a glance
- Support the validation Team in their daily tasks
- Help with the development of test automation & firmware for the MCU
- Perform Analog-Mixed-Signal post-silicon validation on the MCU
- Review the results with the technical experts & designers
|
Your future responsibilities
- Continue to develop the unit of 10 researchers focused on control platforms for power electronic converter systems for a wide range of sectors and applications.
- Provide vision for long-term research opportunities in power electronics.
- Establish and manage research projects with a wide range of industrial and academic partners.
- Shaping the department's research programme and project portfolio with supervisors and peers.
- Effectively delegate research issues to the right combination of SAL scientists and researchers for best team performance.
- Plan and control the research unit's budget and resources.
- Provide overall leadership for the research unit to deliver high quality research outputs, innovation and economic impact.
|
Auf einen Blick
- Betreiben der Datawarehouse & Reporting Platform
- Rollout von Reporting Entwicklungen in Zusammenarbeit mit Entwicklerteams
- 2nd & 3rd Level Support und Applikationsmanagement im Bereich Datawarehouse
- Incident- und Problem Management sowie Kundensupport
- Schnittstelle bzw. Ansprechpartner*in für Kunden und externe Entwickler
- Gelegentliche Reisetätigkeit (international)
|
Auf einen Blick
- Betreiben der Big Data & AI Platform
- Rollout von Change Requests in Zusammenarbeit mit Entwicklerteams
- 2nd & 3rd Level Support und Applikationsmanagement im Bereich Big Data & AI
- Incident- und Problem Management sowie Kundensupport
- Schnittstelle bzw. Ansprechpartner*in für Kunden und externe Entwickler
- Gelegentliche Reisetätigkeit (international)
|
At a glance
- Investigate both centralized and decentralized DPP systems
- Develop secured data carriers for robust product passport applications
|